串行数据格式
发表:2023-08-29 12:00:17 阅读:20

串行数据格式:数据的串行发送使用SCl模块内部的移位寄存器将数据逐位地发送到传输线上。信号线上无信号传输时保持逻辑1,格式见图8—2。可以看到,在串行传输中:

(1)起始位必须是逻辑0,因为异步通信是靠这个起始位的下降沿来同步通信双方的;停止位必须是l,停止位可以是1个、l.5个或2个,含义是两个被发送的数据之间至少要间隔1位、l.5位或2位;

(2)中间的部分叫数据位,是被传输的内容,它的位数可以是5位、6位、7位、8位、9位,一般用7位、8位和9位(最后一位是奇偶校验位)的格式进行数据传输;

(3)发送时从低位起,逐位地发出,低位在前,高位在后。

 

阅读更多请您关注:

16位定时器模块的中断

模拟比较器模块的状态与控制寄存器

飞思卡尔异步串行通信

freescale代理